VHDL urgent!

Started by
9 comments, last by remi 20 years, 11 months ago
Hi all, does anyone here know a good forum on VHDL language? Or anyone who use to program in VHDL? I have some few questions to ask but since "VHDL" is not very used by the programmers here, i found preferable not to post directly. Any help? It''s really urgent!
"...and we all know what "undefined" means: it means it works during development, it works during testing, and it blows up in your most important customers' faces."----------Scott Meyers, "Effective C++"
Advertisement
google can help (unless its still banned where you are)
Before posting here, i checked google first and i got some useful help and tutorials.
But that didn't answer all the questions!
I had even post in the forum u gave above

Thanx anyway petewood, any other help is still welcome thought.

[edited by - remi on May 6, 2003 1:19:13 PM]
"...and we all know what "undefined" means: it means it works during development, it works during testing, and it blows up in your most important customers' faces."----------Scott Meyers, "Effective C++"
I know vhdl. What do you want?

btw, you should''ve just asked your question directly - you''d have an answer by now.
"VHDL" is not used by the programmers here, because is a hardware descriptor language, not graphics, gaming, AI, sound ...
Well, if reconfigurable computing takes off, vhdl may very well become part of a game programmer''s toolbox. And Sony is definitely going in that direction with the Cell.
Well, i'll just post here, who knows maybe i can get an answer.
Basically, i have a component with 3 inputs(buttons) and i need to check if any of them has been pressed or released for further use. So i wanted to deal with them as they were just clocks. But it seems like multiple clocks are not supported in VHDL.

Is it there any way to overcome this problem?
I saw this POST, and also post here
but it didn't have any satisfaying solution, and also falling edge still a nightmare for me since i want to check rising and falling edge seperately and for different "clocks".

It's really urgent, help P-L-E-A-S-E!

[edited by - remi on May 6, 2003 7:06:38 PM]
"...and we all know what "undefined" means: it means it works during development, it works during testing, and it blows up in your most important customers' faces."----------Scott Meyers, "Effective C++"
The behavioral program type may be able to handle this. But then so could architectural. What exactly are you trying to do? Post some code. You are being so vague that I can''t really help you.
if you are just using the normal std_logic data types for your inputs you can use the properties that VHDL keeps track of. So if you have

input1, input2, input3 : in std_logic

you can do

if input1''event and input1=''1'' then
do stuff
etc...

the ''event property signals that a change has occured at the input, then you can check for the value of the variable. If it is 1 then the variable changed from 0 to 1, otherwise it went from 1 to 0. This is assuming you are using binary values for your inputs which I would assume you are if you are using buttons. Unless of course you can have different values based on how hard the button is being pushed.

"I may not agree with what you say but I will defend to the death your right to say it."
--Voltaire
"Pfft, Facts! Facts can be used to prove anything!" -- Homer J. Simpson
I solved the problem in another way, thanx anyway.

quote:Original post by sjelkjd
The behavioral program type may be able to handle this. But then so could architectural. What exactly are you trying to do? Post some code. You are being so vague that I can''t really help you.


It is about a sport watch. It shall be able to count from 1/100s to 1hour. It has 6 7-digit LEDs and 3 buttons. You''re ask to be able to start counting, stop counting, stop the LEDs from showing the actual time while continuing counting, be able to record the time at least 10 times in a FIFO(First in First Out) memory ,be able to delete all the records or show them from the first record to the last one,...

That''s our VHDL experiment''s subject.
I just completed it

This topic is closed to new replies.

Advertisement